【2023 睿思芯科 笔试题】~ 题目及参考答案

2023-09-17 17:05:01


名称如标题所示,希望大家正确食用(点赞+转发+评论)

本次笔试题以两种形式考察的,分别是:选择题(包括单选和多选)和编程题。这里强调的是笔试全英文!!!其实不光这一家公司,很多都是这样的,所以,英语还是那么的重要!一共5个选择+2个编程题。

PS:大家在做笔试题的时候一定注意看清考察的题型,这很重要,如果全是简答题的话,那基本就会考察到基本知识、画图以及编程的内容,此时需要你备好纸笔等工具;如果涉及到编程题的话,还是得去刷一刷某客网的题目,感觉很不错,除了这个以外,入门级别的刷题网站还有HDLBits,比较基础,时间充裕也可以刷刷。

下边是具体的题目,仅仅是回忆,哈哈哈!!!

答案仅供参考哈!因为我也是通过查资料学习分享给大家的,有时候不一定保证正确性,但我也是抱着学习的态度给大家分享,我尽可能保证分享的准确性,如有错误,欢迎批评指正!

1. 题目 & 答案

单选题

考察运算符的优先级、reg默认值、initial是否可以综合、进制转换、4’b100x+4’1001得到什么?(那肯定是4’bxxxx)

PS:选择题一般比较难回忆,所以只会写出知识点,而不会将题目写出来的,望谅解!

编程题

问题1:

找出输入序列的第一个0或者1

解析1:

这个问题主要就是从一串序列中找到我们想要得到的第一个数,不管是0还是1,找到它的位置即可!可以采用对折检查的方法,比如8位的串,可以分为前半串的4位和后半串的4位进行检查,这里我们以发现第一个1为例子。

module find_ones(
  input [7:0] x,
  output [2:0] y);

  wire [3:0] data_4;
  wire [1:0] data_2;


  assign y[2] = | x[7:4];
  assign data_4= y[2] ? x[7:4] : x[3:0] ;
  assign y[1] = | data_4[3:2];
  assign data_2 = y[1] ? data_4[3:2] : data_4[1:0];
  assign y[0] = data_2[1];

endmodule

其余的情况大家可以自己研究一下,这个题的解题思路我记得当时是来自网上一篇博客,就是“用Verilog实现寻找第一个1和最后一个1的位置”,也可以把这个题目思考一下!!!

问题2:

斐波那契数列实现

解析2:

做题之前需要知道什么是斐波那契数哦!斐波那契数列指的是这样一个数列:0、1、1、2、3、5、8、13、21、……,意思是后一个数是前两个数之和。其实这个题目也不是很难,但需要注意的是,第一个数是0哦!

`timescale 1ns / 1ps

module fib_generator(
    input clk,
    input rst_n,
    output reg [7 : 0] fib
    );

  reg [7 : 0] num1, num2;
  wire [7 : 0] fib_in;
  reg cnt;

  always@ (posedge clk or negedge rst_n)
  begin
    if(!rst_n) begin
      num1 <= 8'h1;
      num2 <= 8'h1;
    end
    else if(!cnt)
      num1 <= num1 + num2;
    else
      num2 <= num1 + num2;
  end

  always@ (posedge clk or negedge rst_n)
  begin
    if(!rst_n)
      cnt <= 0;
    else
      cnt <= ~cnt;
  end

  assign fib_in = cnt ? num2 : num1;
  always@(posedge clk or negedge rst_n)
  begin
    if(!rst_n)
      fib <= 'h0;
    else
      fib <= fib_in;
  end

endmodule

写出来不一定百分比对,只会给大家做个参考。

声明

本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!!!

本人主要是记录学习过程,以供自己回头复习,再就是提供给后人参考,不喜勿喷!!!

如果觉得对你有用的话,记得收藏+评论!!!

全网各平台同名===> “IC二舅”

下一期如果有大家想看的 或者 哪块不懂想学习的,可以私聊或在群里提问都可以,“二舅” 给你安排上!!!

更多推荐

企业电子招标采购系统源码之从供应商管理到采购招投标、采购合同、采购执行的全过程数字化管理

功能描述1、门户管理:所有用户可在门户页面查看所有的公告信息及相关的通知信息。主要板块包含:招标公告、非招标公告、系统通知、政策法规。2、立项管理:企业用户可对需要采购的项目进行立项申请,并提交审批,查看所有的立项信息。主要功能包含:招标立项申请、非招标立项申请、采购立项管理。3、采购项目管理:可对项目采购过程全流程管

建构居住安全生态,鹿客科技2023秋季发布会圆满举办

9月20日,以「LockinOpening」为主题的2023鹿客秋季发布会在上海隆重举办,面向居住安全领域鹿客带来了最新的高端旗舰智能锁新品、多眸®OS1.0、LockinCare服务以及全联接OPENING计划。此外,现场还邀请了国家机构、合作伙伴、技术专家等业界同仁共同探讨如何开启居家智能生活新升级。双新品亮相代言

想要提高客户留资率?一个留资机器人就够了!

随着移动互联网进入“下半场”,用户在线参与率持续上升,导致企业的获客成本不断攀升。特别是近年来新型营销场景如直播销售、内容推广和短视频引流等的不断涌现,企业在多个渠道和平台上的广告支出激增,试图吸引更多潜在客户。然而,尽管跨平台营销活动可能带来大量流量,但在实际运营中,许多企业常常由于客服能力有限而失去潜在客户,更不用

Java8实战-总结27

Java8实战-总结27用流收集数据分区分区的优势将数字按质数和非质数分区用流收集数据分区分区是分组的特殊情况:由一个谓词(返回一个布尔值的函数)作为分类函数,它称分区函数。分区函数返回一个布尔值,这意味着得到的分组Map的键类型是Boolean,于是它最多可以分为两组——true是一组,false是一组。例如,如果把

Android动态片段

之前创建的片段都是静态的。一旦显示片段,片段的内容就不能改变了。尽管可以用一个新实例完全取代所显示的片段,但是并不能更新片段本身的内容。之前已经创建过一个基础秒表应用,具体代码https://github.com/MADMAX110/Stopwatch。我们将这个应用增加到WorkoutDetailFragment,把

RK3568开发笔记(九):开发板buildroot固件调通RS485口,运行项目中RS485协议调试工具Demo

若该文为原创文章,转载请注明原文出处本文章博客地址:https://hpzwl.blog.csdn.net/article/details/132869448红胖子网络科技博文大全:开发技术集合(包含Qt实用技术、树莓派、三维、OpenCV、OpenGL、ffmpeg、OSG、单片机、软硬结合等等)持续更新中…瑞芯微开

【网络】HTTPS 加密方法

HTTPS通过加密的方式来保存数据传输的安全性,大致可以分为五种加密方案:1、对称加密方法:服务器生成对称密钥,客户端向服务器发送密钥请求(明文),服务器接收到请求后将对称密钥响应给服务端(明文),后面客户端与服务器利用此对称密钥将信息进行加密后再传输给对方。缺点:由于服务器响应给客户端的密钥是明文的,所以可能被中间人

SimpleCG程序交互操作

前言之前所有示例程序都是属于展示型的,只是作为展示板输出使用,不涉及键盘和鼠标的输入交互,下面我们开始接触具有交互功能的程序。没有交互功能的程序可以满足一定需求,不过大部分的程序是不能脱离交互功能的。程序依据使用者的操作进行相应反应并进行相关输出从而达到互动,将让程序的魅力提高一个层次。一个典型的应用就是游戏,所以学习

【Spring Boot】Spring—加载监听器

这里写目录标题前言加载监听器执行run方法加载配置文件封装Node调用构造器思考前言前几天的时候,项目里有一个需求,需要一个开关控制代码中是否执行一段逻辑,于是理所当然的在yml文件中配置了一个属性作为开关,再配合nacos就可以随时改变这个值达到我们的目的,yml文件中是这样写的:switch:turnOn:on程序

机器学习-模型评估与选择(第2章)课后习题

1习题1.1第1题数据集包含1000个样本,其中500个正例、500个反例,将其划分为包含70%样本的训练集和30%样本的测试集用于留出法评估,试估算共有多少种划分方式。答:“留出法”(hold-lout)直接将数据集D划分为两个互斥的集合。训练集和测试集的划分要尽可能保持数据分布的一致性。根据题目要求,需要抽取700

PostgreSQL执行计划

1.EXPLAIN命令1)PostgreSQL中EXPLAIN命令的语法格式:postgres=#\hexplainCommand:EXPLAINDescription:showtheexecutionplanofastatementSyntax:EXPLAIN[(option[,...])]statementEXPL

热文推荐